Edizione standard Intel® Quartus® Prime
Versione 22.1std Note sulla versione del supporto per software e dispositivi
Aggiornato per Intel® Quartus® Prime Design Suite: 22.1std.1
Guida per l'utente
Note sulla versione del supporto software e dispositivi Intel® Quartus® Prime Standard Edition versione 22.1std
Questo documento fornisce informazioni aggiornate su Intel® Quartus® Prime Standard Edition versione 22.1std e 22.1std.1.
Per ulteriori informazioni su questa versione del software, fare riferimento al README di Intel Quartus Prime Standard Edition file nella seguente località: /quartus/readme.txt
Per informazioni sul supporto del sistema operativo, fare riferimento a quanto segue web pagina: supporto del sistema operativo Intel FPGA.
Informazioni correlate
- Note sulla versione del supporto del software e dei dispositivi Intel Quartus Prime Pro Edition
- Software di progettazione Intel Quartus Prime Standard Edition per Linux
- Software di progettazione Intel Quartus Prime Standard Edition per Windows
- Software di progettazione Intel Quartus Prime Lite Edition per Linux
- Software di progettazione Intel Quartus Prime Lite Edition per Windows
- Installazione e licenza del software Intel FPGA
1.1 Nuove funzionalità e miglioramenti
Il software Intel Quartus Prime Standard Edition versione 22.1std e versione 22.1std.1 include aggiornamenti funzionali e di sicurezza. Mantieni aggiornato il tuo software e segui le istruzioni raccomandazioni tecniche che aiutano a migliorare la sicurezza dell'installazione di Intel Quartus Prime.
La versione 22.1std del software Intel Quartus Prime Standard Edition include le seguenti nuove funzionalità e miglioramenti:
- Aggiunto il supporto per il processore Nios® V/m.
- Per i dispositivi Intel MAX® 10, aggiunto il supporto LVDS da 1.8 V.
Correzioni di bug
Il software Intel Quartus Prime Standard Edition versione 22.1std e versione 22.1std.1 include anche correzioni di bug. Rifview Problemi software risolti a pagina 13 e Patch software incluse in questa versione a pagina 13 per verificare se questa versione contiene correzioni o risolve in altro modo eventuali richieste del servizio clienti (supporto Intel Premier).
1.2. Modifiche al comportamento del software
Questa sezione documenta i casi in cui il comportamento e le impostazioni predefinite del software Intel Quartus Prime Standard Edition sono stati modificati rispetto alle versioni precedenti del software Intel Quartus Prime Standard Edition.
Fare riferimento alle impostazioni predefinite di Intel Quartus Prime File (.qdf), /quartus/bin/assignment_defaults.qdf, per un elenco di tutte le impostazioni di assegnazione predefinite per l'ultima versione del software Intel Quartus Prime.
1.2.1. Funzionalità e funzioni obsolete
Le funzioni e le caratteristiche elencate in questa sezione sono state deprecate ma non rimosse da Intel Quartus Prime Standard Edition versione 22.1std.1 o versioni precedenti.
Esegui la migrazione dei tuoi strumenti e processi per utilizzare caratteristiche e funzioni sostitutive o alternative prima che le caratteristiche e le funzioni deprecate vengano rimosse.
Caratteristiche e funzioni deprecate a partire da Intel Quartus Prime Standard Edizione Versione 22.1std.1
Nessuna caratteristica o funzione di Intel Quartus Prime è stata deprecata in Intel Quartus Prime Standard Edition versione 22.1.1.
Caratteristiche e funzioni deprecate a partire da Intel Quartus Prime Standard Edizione Versione 22.1std
Nessuna caratteristica o funzione di Intel Quartus Prime è stata deprecata in Intel Quartus Prime Standard Edition versione 22.1.
Caratteristiche e funzioni obsolete a partire da Intel Quartus Prime Standard Edition versione 21.1.1
Nessuna caratteristica o funzione di Intel Quartus Prime è stata deprecata in Intel Quartus Prime Standard Edition versione 21.1.1.
Caratteristiche e funzioni deprecate a partire da Intel Quartus Prime Standard Edizione Versione 21.1
Nessuna caratteristica o funzione di Intel Quartus Prime è stata deprecata in Intel Quartus Prime Standard Edition versione 21.1.
Caratteristiche e funzioni deprecate a partire da Intel Quartus Prime Standard Edizione Versione 20.1
Nessuna caratteristica o funzione di Intel Quartus Prime è stata deprecata in Intel Quartus Prime Standard Edition versione 20.1.
1.2.2. Funzionalità e funzioni rimosse
Le funzioni e le caratteristiche elencate in questa sezione sono state rimosse da Intel Quartus Prime Standard Edition versione 22.1std.1 o precedente.
Caratteristiche e funzioni rimosse da Intel Quartus Prime Standard Edition Versione 22.1std.1
Nessuna caratteristica o funzione di Intel Quartus Prime è stata rimossa da Intel Quartus Prime Standard Edition versione 22.1.1.
Caratteristiche e funzioni rimosse da Intel Quartus Prime Standard Edition Versione 22.1st
Nessuna caratteristica o funzione di Intel Quartus Prime è stata rimossa da Intel Quartus Prime Standard Edition versione 22.1.
Caratteristiche e funzioni rimosse da Intel Quartus Prime Standard Edition Versione 21.1.1
Nessuna caratteristica o funzione di Intel Quartus Prime è stata rimossa da Intel Quartus Prime Standard Edition versione 21.1.1.
Caratteristiche e funzioni rimosse da Intel Quartus Prime Standard Edition Versione 21.1
- Rimossi ModelSim*-Intel FPGA Edition e ModelSim-Intel FPGA Starter Edition
Questo software di simulazione è stato sostituito rispettivamente da Questa*-Intel FPGA Edition e Questa-Intel FPGA Starter Edition. - Rimosso il supporto per il software di simulazione a 32 bit.
Questa modifica rimuove il supporto per i seguenti strumenti di simulazione:
— Aldec* HDL attivo* (32 bit)
Utilizza una versione a 64 bit di Aldec Active-HDL o utilizza invece Aldec Riviera-PRO*.
— Grafica del mentore* ModelSim PE
Utilizzare invece Siemens* EDA ModelSim SE o Siemens EDA Questa Advanced Simulator. - Rimosso il supporto dello stack TCP/IP di NicheStack.
- Rimosso il supporto per Cadence* Incisive* Enterprise Simulator (IES).
Caratteristiche e funzioni rimosse da Intel Quartus Prime Standard Edition Versione 20.1
Il supporto per il seguente software è stato rimosso da Intel Quartus Prime Standard Edition versione 20.1 e successive:
- DSP Builder per Intel FPGA
- SDK Intel FPGA per OpenCL™ (*)
- Intel FPGA RTE per OpenCL
- Compilatore Intel di sintesi di alto livello (HLS).
(*) OpenCL e il logo OpenCL sono marchi di Apple Inc. utilizzati con il permesso di Khronos Group™
1.3. Supporto del sistema operativo
Le informazioni sul supporto del sistema operativo per Intel Quartus Prime Design Suite sono disponibili nella pagina del supporto del sistema operativo di Intel FPGA websito.
Modifiche al supporto del sistema operativo in Intel Quartus Prime Standard Edition Versione 22.1std.1
Non ci sono modifiche al supporto del sistema operativo in Intel Quartus Prime Standard Edition versione 22.1std.1.
Modifiche al supporto del sistema operativo in Intel Quartus Prime Standard Edition Versione 22.1st
Il supporto per i seguenti sistemi operativi è deprecato a partire da Intel Quartus Prime Standard Edition versione 22.1:
- CentOS*Linux 8.2
- Server Windows* 2012
- Versione di Windows Server 2016
- Windows* 10 versione 1607
Esegui la migrazione dell'installazione di Windows 10 a Windows 10 versione 1809 o successiva.
Il supporto per questi sistemi operativi potrebbe essere rimosso in una versione futura.
Intel Quartus Prime Standard Edition versione 22.1 ha rimosso il supporto per i seguenti sistemi operativi:
- Linux CentOS 7.5
- Cent OS Linux 8.0(1)
- Cent OS Linux 8.1(1)
- Red Hat* Enterprise Linux* 7
- Red Hat Enterprise Linux 8.0(2)
- Red Hat Enterprise Linux 8.1(2)
Modifiche al supporto del sistema operativo in Intel Quartus Prime Standard Edition Versione 21.1.1
Non ci sono modifiche al supporto del sistema operativo in Intel Quartus Prime Standard Edition versione 21.1.1.
Modifiche al supporto del sistema operativo in Intel Quartus Prime Standard Edition Versione 21.1
Intel Quartus Prime Standard Edition versione 21.1 ha aggiunto il supporto per i seguenti sistemi operativi:
- CentOS Linux 8.2 rimane supportato da Intel Quartus Prime Standard Edition versione 22.1
- Red Hat* Enterprise Linux 8.2 rimane supportato da Intel Quartus Prime Standard Edition versione 22.1
- Sistema operativo CentOS 8.0
- Linux 8 di Red Hat Enterprise
- SUSE*Linux Enterprise Server 15
- Ubuntu*Linux 20 LTS
- Versione di Windows Server 2019
Il supporto per i seguenti sistemi operativi è deprecato a partire da Intel Quartus Prime Standard Edition versione 21.1. Il supporto per questi sistemi operativi potrebbe essere rimosso in una versione futura:
- Sistema operativo CentOS 7.5
- Linux 7 di Red Hat Enterprise
Intel Quartus Prime Standard Edition versione 21.1 ha rimosso il supporto per i seguenti sistemi operativi:
- Linux 6 di Red Hat Enterprise
- Ubuntu Linux 14 LTS
Informazioni correlate
Supporto del sistema operativo
1.4. Raccomandazioni su spazio su disco e memoria
Un'installazione completa del software Intel Quartus Prime Standard Edition richiede fino a 40 GB di spazio disponibile su disco.
Configura il tuo sistema per fornire memoria virtuale aggiuntiva pari alla RAM fisica consigliata necessaria per elaborare il tuo progetto. Questa memoria virtuale aggiuntiva raddoppia effettivamente la memoria effettiva totale disponibile per elaborare il progetto.
Nota:
Il picco di memoria virtuale potrebbe superare questi consigli. Queste raccomandazioni si basano sulla quantità di memoria fisica necessaria per raggiungere il runtime entro il 10% di quella ottenuta su hardware con una quantità infinita di RAM.
Tabella 1.
Requisiti di memoria per l'elaborazione dei disegni Arria®
Questi requisiti sono gli stessi per le installazioni Windows e Linux.
Famiglia | Dispositivo | RAM fisica consigliata |
IntelArria® 10 | 10AT115, 10AX115 | 48 GB |
10AT090, 10AX090 | 44 GB | |
10AS066, 10AX066 | 32 GB | |
10AS057, 10AX057 | 30 GB | |
10ASO48, 10AX048 | 28 GB | |
10AX032, 10AS032 | 24 GB | |
10AX027, 10AS027 | 22 GB | |
10AX022, 10AS022 | 20 GB | |
10AX016, 10AS016 | 18 GB | |
Arria V | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | 16 GB |
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | 12 GB | |
5AGXA7, 5AGTC7 | 10 GB | |
5AGTC3, 5AGXA3, 5AGXA5 | 8 GB | |
5AGXA1 | 6 GB | |
Arria VGZ | 5AGZE7 | 16 GB |
5AGZE3, 5AGZE5 | 12 GB | |
5AGZE1 | 8 GB | |
Arria II GX | EP2AGX260 | 6 GB |
EP2AGX95, EP2AGX125, EP2AGX190 | 4 GB | |
EP2AGX65 | 2 GB | |
EP2AGX45 | 1.5 GB | |
Arria II GZ | EP2AGZ350 | 8 GB |
EP2AGZ300 | 6 GB | |
EP2AGZ225 | 4 GB |
Tabella 2.
Requisiti di memoria per l'elaborazione dei progetti Cyclone®
Questi requisiti sono gli stessi per le installazioni Windows e Linux.
Famiglia | Dispositivo | RAM fisica consigliata |
Intel Cyclone® 10LP | 10cl120 | 1.5 GB |
10CL080, 10CL055 | 1 GB | |
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 MB | |
Ciclone V | 5CEA9, 5CGTD9, 5CGXC9 | 8 GB |
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 | 6 GB | |
Ciclone IV GX | EP4CGX110, EP4CGX150 | 2 GB |
EP4CGX50, EP4CGX75 | 1.5 GB | |
EP4CGX15, EP4CGX22, EP4CGX30 | 512 MB | |
Ciclone IV E | EP4CE115 | 1.5 GB |
EP4CE55, EP4CE75 | 1 GB | |
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 MB |
Tabella 3.
Requisiti di memoria per l'elaborazione dei progetti MAX
Questi requisiti sono gli stessi per le installazioni Windows e Linux.
Famiglia | Dispositivo | RAM fisica consigliata |
Intel MAX10 | 10M50 | 2 GB |
10M16 | 2 GB | |
10M25 | 2 GB | |
10M40 | 2 GB | |
10M04, 10M08 | 1 GB | |
10M02 | 512 MB | |
MASSIMO V | Tutto | 512 MB |
MASSIMO II | Tutto | 512 MB |
Tabella 4.
Requisiti di memoria per l'elaborazione dei progetti Stratix®
Questi requisiti sono gli stessi per le installazioni Windows e Linux.
Famiglia | Dispositivo | RAM fisica consigliata |
Stratix® V | 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB | 28 GB |
5SGXA9, 5SEE9 | 24 GB | |
5SGTC7, 5SGXA7, 5SGSD8 | 20 GB | |
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | 16 GB | |
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | 12 GB | |
5SGSD3 | 8 GB | |
Stratice IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | 12 GB |
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | 8 GB | |
EP4SGX290 | 6 GB | |
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | 4 GB | |
EP4SGX70 | 2 GB |
1.5. Supporto dispositivo e stato pin-out
Tutti i dispositivi di produzione dispongono attualmente di un supporto completo per la compilazione, la simulazione, l'analisi dei tempi e la programmazione.
1.5.1. Modifiche nel supporto dei dispositivi
1.6. Modello di temporizzazione, modello di alimentazione e stato del dispositivo
Tabella 5.
Modello di temporizzazione, modello di alimentazione e stato del dispositivo per i dispositivi Intel Arria 10
Famiglia di dispositivi | Dispositivo | Stato del modello di temporizzazione | Stato del modello di potenza | Stato del dispositivo |
Intel Aria 10 | 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 | Finale - 16.1 (3)(4) | Finale – 17.0 | Finale – 17.0 |
10AX048, 10AS048 | Finale - 16.0.2 (4) | Finale – 17.0 | Finale – 17.0 | |
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 | Finale - 16.0.1 (4) | Finale – 16.0.1 | Finale – 16.0.1 | |
10AX115, 10AT115 | Finale - 16.0 (4) | Finale – 16.0 | Finale – 16.0 |
(3) I dispositivi con un grado di velocità -1 sono stati finalizzati nella versione 17.0 del software Intel Quartus Prime
(4) Tutti i dispositivi di livello militare sono stati finalizzati con la versione 18.0.1 del software Intel Quartus Prime.
Tabella 6.
Modello di temporizzazione, modello di alimentazione e stato del dispositivo per i dispositivi Intel Cyclone 10
Famiglia di dispositivi | Dispositivo | Stato del modello di temporizzazione | Stato del modello di potenza | Stato del dispositivo |
Intel Cyclone 10 LP | 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 | Finale – 17.0 | Finale – 17.1 | Finale – 17.1 |
Tabella 7.
Modello di temporizzazione, modello di alimentazione e stato del dispositivo per i dispositivi Intel MAX 10
Famiglia di dispositivi | Dispositivo | Stato del modello di temporizzazione | Stato del modello di potenza | Stato del dispositivo |
Intel MAX10 | 10M02, 10M04, 10M08 | Finale - 15.1 (5) | Finale – 15.1 | Finale – 15.1 |
10M16, 10M25, 10M40, 10M50 | Finale – 15.1.2 | Finale – 15.1 | Finale – 15.1 |
L'attuale versione del software Intel Quartus Prime include anche i modelli finali di temporizzazione e potenza per Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V Famiglie di dispositivi SoC, MAX II, MAX II Z, MAX V, Stratix IV e Stratix V. I modelli di temporizzazione per queste famiglie di dispositivi sono diventati definitivi nelle versioni del software Intel Quartus Prime 11.1 o precedenti.
1.7. Modelli Ibis
Tabella 8. Stato del modello IBIS per il software Intel Quartus Prime Standard Edition Rilascio della versione 22.1std
A partire dalla versione 16.0 del software Intel Quartus Prime Standard Edition, le famiglie di dispositivi hanno stati del modello IBIS che sono Advance, Preliminary o Final.
Famiglia di dispositivi | Stato del modello IBIS |
Intel Aria 10 | Finale – 16.1.2 |
Arria V | Correlato al funzionamento del dispositivo PHY – 14.0 |
Arria II GX | Correlato al funzionamento del dispositivo PHY – 11.1 |
Arria II GZ | Correlato al funzionamento del dispositivo PHY – 11.1 |
Intel Cyclone 10 LP | Finale – 17.0 |
Ciclone V | Correlato al funzionamento del dispositivo PHY – 14.0 |
Ciclone IV E | Correlato al funzionamento del dispositivo PHY – 11.1 |
Ciclone IV GX | Correlato al funzionamento del dispositivo PHY – 11.1 |
Intel MAX10 | Finale – 16.0 |
MASSIMO V | Correlato al funzionamento del dispositivo PHY – 11.1 |
Stratice V | Correlato al funzionamento del dispositivo PHY – 13.0 SP1 |
Stratice IV | Correlato al funzionamento del dispositivo PHY – 11.1 |
I modelli IBIS aggiornati sono disponibili online su IBIS Models for Intel FPGA Devices web pagina. Questa pagina viene aggiornata man mano che i modelli IBIS per i dispositivi diventano disponibili o vengono aggiornati.
(5) Gli stati del modello di temporizzazione per le parti del grado di velocità MAX 10 A6 rimangono Preliminari.
1.8. Informazioni sull'interfaccia EDA
Tabella 9.
Strumenti di sintesi che supportano la versione software Intel Quartus Prime Standard Edition versione 22.1std
Strumenti di sintesi | Versione |
Precisione Siemens EDA* | Le versioni Siemens EDA Precision che supportano il software Intel Quartus Prime vengono in genere rilasciate dopo il rilascio del software Intel Quartus Prime. Contattare Siemens EDA per le versioni di Siemens EDA Precision che supportano Intel Quartus Prime Standard Edition Software Release Version 22.1std. |
Synopsys* Synplify*, Synplify Pro* e Synplify Premier | Le versioni Synopsys Synplify, Synplify Pro e Synplify Premier che supportano il software Intel Quartus Prime vengono in genere rilasciate dopo il rilascio del software Intel Quartus Prime. Contattare Synopsys per le versioni di Synopsys Synplify, Synplify Pro e Synplify Premier che supportano Intel Quartus Prime Standard Edition Software Release Version 22.1std. |
Tabella 10.
Strumenti di simulazione che supportano Intel Quartus Prime Standard Edition Versione del rilascio del software 22.1std
I seguenti strumenti di simulazione forniscono RTL e simulazione funzionale a livello di gate. Sono supportati solo strumenti di simulazione a 64 bit.
Strumenti di simulazione | Versione |
Aldec Attivo-HDL | 13.0 (solo Windows) |
Aldec Riviera-PRO | 2019.1 |
Cadence Xcelium* Simulazione logica parallela | 21.09.003 (solo Linux*) |
Questa edizione Intel FPGA | 2021.2 |
Siemens EDA ModelloSim SE | 2020.4 |
Siemens EDA Questo simulatore avanzato | 2020.4 |
Sinossi VCS* e VCS MX | P-2019.06-SP2-5 (solo Linux) |
Questa-Intel FPGA Edition richiede il demone di licenza FlexLM versione 11.16.4.0 (o successiva). È possibile ottenere il daemon di licenza dai daemon di licenza FlexLM per il software Intel FPGA web pagina.
È possibile ottenere Intel FPGA Edition degli strumenti di simulazione dall'Area download per FPGA.
Supporto del sistema operativo per Questa-Intel FPGA Edition versione 2021.2
- Red Hat Enterprise Linux 7 (64 bit)
- Red Hat Enterprise Linux 8 (64 bit)
- SUSE Linux Enterprise Server 12 (64 bit)
- Windows 10 (64 bit)
Informazioni correlate
- Software di progettazione Intel Quartus Prime Standard Edition per Linux
- Software di progettazione Intel Quartus Prime Standard Edition per Windows
- Software di progettazione Intel Quartus Prime Lite Edition per Linux
- Software di progettazione Intel Quartus Prime Lite Edition per Windows
1.9. Verifica antivirus
Il software Intel Quartus Prime è stato verificato privo di virus con il seguente software:
Software di verifica antivirus per Intel Quartus Prime Standard Edition Versione 22.1std.1
Riga di comando di McAfee VirusScan per Linux64 Versione: 7.0.0.477
Versione motore AV: 6300.9389 per Linux64.
Versione del set di dati: 10629 creato il 22 febbraio 2023
Software di verifica antivirus per Intel Quartus Prime Standard Edition Versione 22.1st
Riga di comando di McAfee VirusScan per Linux64 Versione: 7.0.0.477
Versione motore AV: 6300.9389 per Linux64.
Versione del set di dati: 10505 creato il 19 ottobre 2022
1.10. Problemi software risolti
Nessuna richiesta del servizio clienti è stata corretta o altrimenti risolta in Intel Quartus Prime Standard Edition versione 22.1std.1.
Le seguenti richieste del servizio clienti sono state corrette o altrimenti risolte in Intel Quartus Prime Standard Edition versione 22.1std:
Tabella 11.
Problemi risolti nella versione 22.1std di Intel Quartus Prime Standard Edition
Numeri dei casi di supporto Intel Premier | |||||||
00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
00698210 | 00698732 | 05129080 | 05465225 | 11396299 |
1.11. Patch software incluse in questa versione
Intel Quartus Prime Standard Edition versione 22.1std.1 contiene le seguenti patch per le versioni precedenti del software Intel Quartus Prime Standard Edition:
Tabella 12.
Patch software incluse nella versione Intel Quartus Prime Standard Edition 22.1
Software Version | Toppa | Numero di richiesta del servizio clienti |
Intel Quartus Prime versione 22.1 | 0.01° | – |
Intel Quartus Prime versione 21.1 | 0.14° | 00741067 |
Intel Quartus Prime Standard Edition versione 22.1std contiene le seguenti patch per le versioni precedenti del software Intel Quartus Prime Standard Edition:
Tabella 13. Patch software incluse in Intel Quartus Prime Standard Edition versione 22.1std
Software Version | Toppa | Numero di richiesta del servizio clienti |
Intel Quartus Prime versione 21.1 | 0.10° | – |
Intel Quartus Prime versione 21.1 | 0.08° | 00693884 |
Intel Quartus Prime versione 21.1 | 0.07° | 00501636 |
Intel Quartus Prime versione 21.1 | 0.06° | 00689611 |
Intel Quartus Prime versione 21.1 | 0.04stdp | – |
Intel Quartus Prime versione 21.1 | 0.03° | – |
Intel Quartus Prime versione 21.1 | 0.02° | – |
Intel Quartus Prime versione 20.1.1 | 1.09° | 00702107 |
Intel Quartus Prime versione 20.1 | 0.14° | 00702107 |
Intel Quartus Prime versione 18.1.1 | 1.13° | – |
Intel Quartus Prime versione 18.1.1 | 1.12° | – |
Intel Quartus Prime versione 18.1.1 | 1.09° | – |
Intel Quartus Prime versione 18.1 | 0.23° | 00698210 |
Intel Quartus Prime versione 18.1 | 0.21° | 00669646 |
Intel Quartus Prime versione 18.1 | 0.20° | 00689611 |
1.12. Ultimi problemi noti relativi al software Intel Quartus Prime
Le informazioni sui problemi noti che interessano Intel Quartus Prime Standard Edition versione 22.1std sono disponibili nella Knowledge Base di Intel FPGA.
Per le informazioni più recenti sui problemi che interessano Intel Quartus Prime Standard Edition versione 22.1std, review gli articoli della Knowledge Base Intel FPGA che si applicano a Intel Quartus Prime Standard Edition versione 22.1std.
Tabella 14.
Problemi noti importanti che interessano Intel Quartus Prime Standard Edition versione 22.1std
Descrizione | Soluzione alternativa |
Sui sistemi Microsoft* Windows, SDI II Intel FPGA IP design exampla generazione del file non riesce con il seguente messaggio di errore: Errore: Impossibile generare exampil design esample_design a:: \sdi_ii_0_example_design |
Per i dettagli e la disponibilità di una correzione, fare riferimento a Perché il design IP SDI II Intel FPGA exampla generazione delle file non riesce quando si utilizza il software Intel Quartus Prime per Windows? nella Knowledge Base Intel FPGA. |
Sui sistemi Microsoft Windows, si verifica il seguente errore durante la generazione di un Intel Arria 10 EMIF Example Design per la simulazione: Errore: emif_0: si è verificato un errore durante la generazione della simulazione esample design. Vedi make_sim_design_errors.log per i dettagli. Errore: Impossibile generare example design A:ampdirectory di progettazione le> Genera example Design: completato con errori |
Puoi tranquillamente ignorare questi messaggi di avviso. Simulazione file vengono generati i set per i software di simulazione Siemens EDA Questa e Aldec Riviera-PRO e contengono il relativo progetto files per eseguire la simulazione con successo. Per ulteriori dettagli e la disponibilità di una correzione, fare riferimento a Perché Intel Arria 10 EMIF Example Design Generation non riesce quando si utilizza il software Intel Quartus Prime Standard Edition versione 22.1 per Windows? nella Knowledge Base Intel FPGA. |
Quando si utilizza la modalità Salta calibrazione IP Intel Arria 10 EMIF, la simulazione di Intel Arria 10 EMIF IP con il software di simulazione Siemens EDA Questa (Siemens EDA Questa Advanced Simulator o Questa-Intel FPGA Edition) possono bloccarsi. |
Usare l'opzione Abstract PHY for fast simulation per evitare il blocco. Per ulteriori dettagli e la disponibilità di una correzione, fare riferimento a Perché la simulazione dell'IP EMIF Intel Arria 10 nei simulatori Mentor si blocca quando si utilizza il software Intel Quartus Prime Standard Edition versione 22.1 nella Knowledge Base Intel FPGA. |
È possibile trovare informazioni sui problemi noti per le versioni precedenti del software Quartus Prime nella Knowledge Base Intel FPGA web pagina.
Le informazioni sui problemi software noti che interessano le versioni precedenti del software Quartus II sono disponibili su Intel Quartus Prime e Quartus II Software Support web pagina.
Le informazioni sui problemi che interessano la libreria IP Intel FPGA sono disponibili nelle note di rilascio per ogni IP. È possibile trovare le note sulla versione IP nell'indice della documentazione Intel FPGA web pagina.
Informazioni correlate
- Base di conoscenza Intel FPGA
- Supporto software Intel Quartus Prime e Quartus II
- Note sulla versione di dispositivi programmabili e FPGA Intel
1.13. Software e dispositivo Intel Quartus Prime Standard Edition Supporta gli archivi delle note di rilascio
Per le versioni più recenti e precedenti di queste note sulla versione, fare riferimento a Intel Quartus Prime Standard Edition Software and Device Support Note sulla versione. Se una versione software non è elencata, si applicano le note di rilascio per la versione software precedente.
1.14. Versione del software Intel Quartus Prime Standard Edition Cronologia delle revisioni dei documenti della versione 22.1
Versione del documento | Versione Intel Quartus Prime | Cambiamenti |
2023.03.21 | 22.1 | • Aggiornato per la versione 22.1std.1 • Corretto il numero di versione per la versione 22.1std. |
2022.11.07 | 22.1° | • Aggiornati gli ultimi problemi software noti. |
2022.10.31 | 22.1° | • Versione iniziale. |
Intel Quartus Prime Standard Edition: versione 22.1std Note sulla versione del supporto per software e dispositivi
Versione online
Invia feedback
Numero identificativo: 683593
RN-01080-22.1°
Versione: 2023.03.21
Documenti / Risorse
![]() |
Intel Quartus Prime Edizione Standard [pdf] Guida utente Quartus Prime Edizione Standard, Prime Edizione Standard, Edizione Standard |